論理回路をトランジスタで作成

 

先般購入した「CPUの創り方」本

これに登場するTD4あるいは上位の8bitCPUを70歳までに完成させるっ

と4月ながら(少々遅れて)2023、24年の2年間目標としました。

15年前に買って机の中の肥やしになっていたトランジスタ「2SC18115」、抵抗とLEDで回路図を参照しつつブレッドボードに移植

左はNOT回路だけを電圧測定用にもう一個作った時の写真

 

本当は小型基盤に規格化して回路を作ってモジュール化し、各々複数枚ずつ作成

それらを結線して加算器まで作りたいんだけど、ハンダ付けとか部品数が半端ないことになるので躊躇した。(多分そこまではやらない)

 

一番下段が「NOT」回路

 入力値の反対値が出力される。

 5Vが1、0Vが0を表す。

 入力が1なら出力は0となる回路

 

2段目が入力データを指定するスイッチ「A」「B」

左に倒すと「ON」になって5Vが印加される。

右に倒すと「OFF」で0Vを印加

 

3,4段目が「OR」回路。A,Bどちらか1回路がONになれば出力はONになる。論理和

 

5,6段目が「AND」回路。論理積

AB両方がONになればONを出力、でなければOFF

 

 

ちなみにAND/OR回路はダイオードだけでも構成できるが、NOT回路だけは「スイッチング機能」を持つトランジスタを使わないと実現できないことを初めて知った。(リレーでも可能)

 

ちゃんと動いているようだけど、せっかく基礎からやるんだから電気回路の動作も理解しておかないとね。

高校時代に戻ったつもりでトランジスタ回路のお勉強もしました。(結局イマイチわからんかったが・・)